simulation failing after exactly 8 threads/users

I am executing this test where my simulation starts failing after 8 samples and I have no idea as to why it’s happening. Even if I do 9 users, 8 would pass and 1 would fail.

`
package computerdatabase

import io.gatling.core.Predef._
import io.gatling.http.Predef._
import scala.concurrent.duration._

class Test extends Simulation {

val httpProtocol = http.baseUrl(“https://apsr.abc.com:”)

val header = Map(
“Authorization” → “Basic 1234567=”)

val pl1 = scenario(“claimsummary”).exec(http(“myRequest”)
.post(“/receiveeapp”)
.headers(header)
.body(ElFileBody(“ehub.txt”)).check( regex( “(?<=)(.*\n?)(?=)”).saveAs(“subid”)))

//setUp(pl1.inject(rampUsers(10) during (6 seconds)).protocols(httpProtocol) – even this would fail 2 requests and pass 8 only

setUp(pl1.inject(atOnceUsers(15)).protocols(httpProtocol)
)
}
`

Error: I am not sure why this error because if there was anything wrong with request/data, it could have failed for first 8 instances too, but it didn’t

`

regex((?<=)(.*\n?)(?=)).find.exis 2 (100.0%)
ts, found nothing
`