Issues with simple loop scenario

That’s a race condition that happens when using maxDuration or throttling.
It’s annoying, but it doesn’t really hurt.
It’s already fixed in master.

Thanks for the reply.

I figured out it was something like that.
I guess my issue is with throttle. In my example I had it set to the whole simulation and it would only generate a few request (no looping even though there is a loop there). Once I applied the throttle to the scenario instead of the whole simulation. It works as expected. Can you explain why is that? I’m probably missing something. I’m used to Java but Scala is completely foreign to me.

That’s a known bug: https://github.com/gatling/gatling/issues/2717 :slight_smile:
It’s been already fixed, but not released yet.